shift.v

これでもダメ!

これでもダメ!

  • タグ:
  • タグはありません
module test;
	wire signed [7:0] data1;
	wire signed [7:0] data2;
    assign data1 = 8'b10000001;
    assign data2 = data1 <<< 2;
endmodule