@pgate1さんが投稿したコード一覧

  • タグ:
  • タグはありません
  • タグ:
  • タグはありません
  • タグ:
  • タグはありません

CountLFSR.vhd

LFSRをちょっと使いやすくする工夫。
LFSRをちょっと使いやすくする工夫。
library IEEE;
use IEEE.std_logic_1164.all;

package SUB_PACK is
コードを見る
  • タグ:
  • タグはありません
  • タグ:
  • タグはありません