ピンごとに指定可能なのな
ピンごとに指定可能なのな
component COUNT10port(RSTn : in std_logic;CLK : in std_logic;TOD : out std_logic_vector(3 downto 0));end component;中略CNT10 : COUNT10port map(RSTn => RSTn,CLK => DCLK,-- TOD => CBIT,TOD(0) => CBIT(0),TOD(1) => CBIT(1),TOD(2) => CBIT(2),TOD(3) => CBIT(3));